Home > About Cadence > Events > Events & Webinars > EDA360 Tech on Tour: Silicon Realization Technical Seminars
EDA360 Tech on Tour: Silicon Realization Technical Seminars
Silicon Realization Seminars: Allegro Track
Click here for further information  
Nothing available at this time. Please check back soon.

Learn how to reduce your PCB design cycle time and overall cost by building predictable and efficient design flows using new Cadence Allegro 16.5 software. Learn how Allegro 16.5 can be used with your existing configuration to enable co-design/analysis between design disciplines, concurrent team design for design authoring, integration with business decision management systems, and other ways to improve your productivity.

Silicon Realization Seminars: Cadence OrCAD Track
Click here for further information  
Nothing available at this time. Please check back soon.

Learn how to reduce your PCB design cycle time and overall cost by building predictable and efficient design flows using new Cadence OrCAD 16.5 software. Learn how OrCAD 16.5 can be used with your existing configuration to enable co-design/analysis between design disciplines, concurrent team design for design authoring, integration with business decision management systems, and other ways to improve your productivity.

Silicon Realization Seminars: Incisive Functional Verification
Click here for further information  
Nothing available at this time. Please check back soon.

This free, full-day seminar is designed for any engineers and/or technical managers who are interested in having better visibility and predictability in the overall verification process.

Silicon Realization Seminars: Unified Custom/Analog Flow
Click here for further information  
Nothing available at this time. Please check back soon.

If you are a current Cadence Virtuoso technology user and are looking to become even more productive, this technical seminar is for you. Find out how to eliminate unnecessary design iterations by capturing and maintaining design intent throughout the flow. Learn how Cadence flows for schematic-driven design, parasitic-aware design, rapid analog prototyping, and in-design signoff provide a deterministic path to convergence on your design goals. See technical demonstrations and discover how to gain greater productivity over the use of point tools.